半导体照明技术期末考试(eda期末考试题库及答案)

文章目录

半导体照明技术期末考试由本站整理编辑,为你带来全面的eda期末考试题库及答案内容阅读。一起跟小编来看看吧!

半导体照明技术期末考试

LED芯片各个颜色波段如下:

1、红光:615-650(nm)。

2、橙色:600-610(nm)。

3、黄色:580-595(nm)。

4、黄绿:565-575(nm)。

5、绿色:495-530(nm)。

6、蓝光:450-480(nm)。

7、紫色:370-410(nm)。

8、白光:450-465(nm)。

小提示

光二极管的光谱功率分布测量,目的是掌握LED的光谱特性和色度,再者是为了对已测得的LED的光度量值进行修正。

在测量LED光谱功率分布时,应注意以下几点,一个是在与标准光谱辐照度进行比较时由于标准灯的光谱辐强度比LED强得多,为了避免这个问题,最好在标准灯前加一个中性滤光片,使它的光谱辐强度接近于LED。

LED的光谱宽度很窄,为了准确地描绘LED的光谱分布轮廓,最好采用窄带波长宽度的单色仪进行测量,波长间隔为1nm为好。

按下式计算LED的光谱功率分布E t。

Etλ=Esλ·Itλ/Isλ

式中 i 是标准灯在波长 i 处的响应;E 是标准灯的光谱功率分布;i 是LED在波长λ处的响应。

LED中国-LED模组灯波长对照表

eda期末考试题库及答案

第一题:

a.if

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity baidu is

port(shu_in : in std_logic_vector(7 downto 0);

shu_out : out std_logic_vector(2 downto 0) );

end baidu;

architecture one of baidu is

begin

process(shu_in)

begin

if shu_in(7)='1' then

shu_out <= "111";

elsif shu_in(6)='1' then

shu_out <= "110";

elsif shu_in(5)='1' then

shu_out <= "101";

elsif shu_in(4) = '1' then

shu_out <= "100";

elsif shu_in(3) = '1' then

shu_out <= "011";

elsif shu_in(2) = '1' then

shu_out <= "010";

elsif shu_in(1) = '1' then

shu_out <= "001";

elsif shu_in(0)='1' then

shu_out <= "000";

else

shu_out <= "ZZZ";

end if;

end process;

end one;

b.case

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity baidu is

port(shu_in : in std_logic_vector(2 downto 0);

shu_out : out std_logic_vector(7 downto 0) );

end baidu;

architecture one of baidu is

begin

process(shu_in)

begin

case shu_in is

when "111" => shu_out <= "10000000";

when "110" => shu_out <= "01000000";

when "101" => shu_out <= "00100000";

when "100" => shu_out <= "00010000";

when "011" => shu_out <= "00001000";

when "010" => shu_out <= "00000100";

when "001" => shu_out <= "00000010";

when "000" => shu_out <= "00000001";

when others => shu_out <= "XXXXXXXX";

end case;

end process;

end one;

c.when else

library ieee;

use ieee.std_logic_1164.all;

entity baidu is

port(input:in std_logic_vector(7 downto 0);

output:out std_logic_vector(2 downto 0));

end baidu;

architecture one of baidu is

begin

output<="111" when input(7)='1' else

"110" when input(6)='1' else

"101" when input(5)='1' else

"100" when input(4)='1' else

"011" when input(3)='1' else

"010" when input(2)='1' else

"001" when input(1)='1' else

"000";

end one;

半导体照明概论期末考试

(1)GaAs (2)5Al2O3·3Y2O3(3)③⑤

(1)砷元素位于ⅤA族,砷元素的最低化合价为-3,砷化镓的化学式为GaAs。(3)根据图示中离子流向,阳离子向正极迁移,阴离子向负极迁移,故a极为负极,b极为正极。高分子的相对分子质量应大于5 000,氮化镓为小分子,①错误;电子流向与电流流向相反,电流由正极流向负极,即由b极流向a极,②错误;光伏电池将太阳能直接转化为电能,③正确;N型半导体为负极,P型半导体为正极,④错误;工业精炼粗铜,粗铜在阳极发生氧化反应,用精铜作阴极,Cu2+在阴极发生还原反应,电解池的阴极与光伏电池的负极a连接,⑤正确。

以上就是本站小编整理的关于半导体照明技术期末考试的相关知识,内容来源网络仅供参考,希望能帮助到你。

相关推荐